蜀南竹海最好的酒店:三模冗余系统

来源:百度文库 编辑:九乡新闻网 时间:2024/10/05 13:22:39
     三模冗余系统简称TMR(Triple Modular Redundancy),是最常用的一种容错设计技术.三个模块同时执行相同的操作,以多数相同的输出作为表决系统的正确输出,通常称为三取二.三个模块中只要不同时出现两个相同的错误,就能掩蔽掉故障模块的错误,保证系统正确的输出.由于三个模块是互相独立的,两个模块同时出现错误是极小概率事件,故可以大大提高系统的可信性.同时,为保障每个模块的可靠性,0 E, n. t3 s" G# l2 X
保证及时处理一次故障的影响,系统还具有故障检测能力,周期性或在需要时检测故障,发现故障后能及时定位,进行故障处理,减少二次故障发生概率.另外,对于关键性输出,有故障一安全保障系统的故障安全性.所以,本系统是一个高可靠性和高安全性的冗余结构.
; k, u: x% e6 n     系统一般设置三个表决面,分别为输入表决面、计算输出表决面和表决器表决面.由于三个模块之间有相互交叉传送数据,因此每个模块的数据都可以共享.输入表决面是在计算前对三个信号采集模块的输入数据进行三取二表决,屏蔽掉单个模块的输入故障,可以包容传感器故障而不影响计算,保证输入到三个模块参与计算的数据都是正确的.计算输出表决面是在计算后输出前对三个计算模块的计算结果进行三" s$ I8 R6 l4 J- N
取二表决,可以通过两个模块的正确结果屏蔽掉另一个模块的计算错误,每个模块都取正确的计算结果输出到硬件表决器.表决器表决面在输出前对将要输出的信号进行一次三取二表决,选取正确的结果输出.在这一表决面设置了两个相同的表决器,最后将这两个表决器的表决结果再进行一次比较验证,如果一致就输出结果,否则就使用安全输出原则并报警,通过这样的策略消除表决器的单点故障。