赤城香格里拉小区房价:vhdl 与门

来源:百度文库 编辑:九乡新闻网 时间:2024/07/08 18:58:20
library ieee;
use ieee.std_logic_1164.all;entity dd is
 port(A:in std_logic;
   B:in std_logic;
   Q:out std_logic);
end dd;architecture behave of dd is
begin
Q<=A and B;
end behave;